New Control Metrology Systems for Advanced Device Manufacturing

Press Release Summary:

  • Atlas V metrology system helps to measure several key steps that include buried features, not visible by CD-SEM and other techniques
  • IMPULSE V system work seamlessly with CMP systems to provide high throughput run-to-run control for critical process steps
  • Aspect metrology system designed for the current and future challenges of advanced 3D NAND devices

Original Press Release:

Product News: Onto Innovation Announces Suite of Three New Metrology Systems for Complete Critical Dimension Process Control

The new Atlas® V, IMPULSE® V, and revolutionary Aspect TM optical systems are all powered by the new AIDiract TM software to enable process development and control for advanced 3D NAND, DRAM and leading Foundry/Logic devices 

Wilmington, Mass.--(Business Wire)-- Onto Innovation Inc. (NYSE: ONTO) today announced the availability of a suite of process control metrology solutions for advanced device manufacturing. The suite of optical metrology solutions was developed for next generation semiconductor devices to enable high precision, high accuracy, and high productivity solutions for Gen6, 3D NAND, leading 5nm/3nm logic and advanced 1alpha DRAM devices. This new metrology suite represents the rst exciting results from Onto Innovation’s enhanced R&D team to create a comprehensive solution set that capitalizes on its extensive leadership in optical metrology and enhancements in a new machine learning engine software for all of these applications.

Kevin Heidrich, senior vice president of marketing, commented, “We are very excited by our customers’ early responses to the performance and value of these new systems. Our measurement data analysis from advanced logic and memory devices has been found to be highly correlated to our customers’ metrology lab standards such as CD-SEM and TEM, which means they can continue to use high-speed optical metrology systems without the need to use signicantly slower, and more costly, X-ray technology.” 

He continued, “For the most advanced 3D NAND devices, the challenges to measure the very high aspect ratio channel holes and word lines, with aspect ratios much greater than 80:1, are forcing customers to consider slower 1 X-ray tools and other destructive measurement techniques. Similarly, for the most advanced DRAM and logic devices, complex transistor structures and new materials at the 5nm and 3nm nodes have customers looking at new methods of metrology for the critical gate-all-around/nano-sheet processing steps. Onto Innovation has developed platforms that drive optical technology to the next level enabling the advantages of both high sensitivity and high productivity, providing customers information at the rate and quality that is needed for process development and high-volume manufacturing.”

3D NAND/DRAM/Logic Metrology

The new Atlas V metrology system is designed to measure several key steps that include buried features, not visible by CD-SEM and other techniques. The sensitivity of Atlas V metrology enables these critical dimensions to be measured with high accuracy and sensitivity, extending the capability of optical solutions for generations of devices and eliminating the need for other slower process control techniques.

Atlas V technology now enables the performance needed for customers’ development of gate-all-around devices and is over 100 times faster than X-ray solutions for these structures. Onto Innovation’s customers that have validated this new OCD technology and have already seen the speed and resolution that was once thought to be beyond the limits of optical technology.

The IMPULSE V system, built on a history of industry leading reliability, enables higher productivity and higher performance for next generation integrated metrology. The system is designed to work seamlessly with chemical mechanical polishing (CMP) systems to provide high throughput run-to-run control for critical process steps. The IMPULSE V system enables recipe inter-operability with the Atlas V system for uninterrupted production recipe setup and optimization. Utilizing the latest machine learning technology, the IMPULSE V technology supports ondevice metrology enabling broad exibility and high process coverage enabling higher productivity with broader process recipe coverage.

3D NAND Advanced Metrology

The new Aspect metrology system is a revolutionary optical platform that is designed for the current and future challenges of advanced 3D NAND devices. Memory density increases with both layer-pair scaling and tier stacking for memory stacks well over 200 pairs. The Aspect technology was designed with these future architectures and scaling strategies in mind. Aspect metrology is demonstrating performance superior to X-ray systems across multiple customer devices through a revolutionary infrared optical system providing full proling capability to enable critical etch and deposition control, with the speed and process coverage that customers require.

All Products Powered by AI-Diract Modelling Technology

AI-Diract Technology is the key component of the new solution suite. It is the software analysis engine powering all of these leading metrology systems. This new product now provides up to 90% faster time to solution using the AI-Diract engine which extends the industry leading NanoDiract® software by leveraging extensive machine learning capabilities along with high delity modeling. The result is a simultaneous improvement in metrology performance along with a signicant time to solution reduction.

All products are shipping to select customers this quarter, with broad availability by the fourth quarter. The company expects revenue to begin in the fourth quarter and ramp in the rst half of 2021.

About Onto Innovation Inc.

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of oerings across the entire semiconductor value chain helps our customers solve their most dicult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more ecient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization. Additional information can be found at www.ontoinnovation.com

Investor Relations:
Michael Sheaer
+1 978.253.6273
mike.sheaer@ontoInnovation.com

Trade Press:
Amy Shay
+1 952.259.1794
amy.shay@ontoinnovation.com

Source: Onto Innovation Inc.

All Topics