ARM Unveils Cortex-A9 Processors for Scalable Performance and Low-Power Designs


CAMBRIDGE, October 3/-

- First Fully Synthesizable Single and Multicore Processors Capable of Delivering More Than 8000 Aggregate DMIPS of Performance and Mobile-Level Power Efficiency to a Wide Range of Applications

ARM [(LSE: ARM); (Nasdaq: ARMHY)] today launched its new Cortex(TM)-A9 processors at the fourth annual ARM(R) Developers' Conference, in Santa Clara, California. The ARM Cortex-A9 MPCore(TM) multicore processor and ARM Cortex-A9 single core processor deliver unprecedented performance within tight power constraints for innovative devices that deliver superior overall functionality, such as smartphones, connected mobile computers, consumer electronics, automotive infotainment, networking and other embedded and enterprise devices.

ARM also announced today that several Partners have already selected the
Cortex-A9 processors, including NEC Electronics, NVIDIA, Samsung, STMicroelectronics and Texas Instruments.

The new Cortex-A9 processors are compatible with other Cortex family processors and the popular ARM MPCore technology, thereby inheriting a rich ecosystem of OS/RTOS, middleware and applications to lower the costs associated with adopting a new processor.

The Cortex-A9 processors deliver highly scalable and power-efficient solutions by leveraging for the first time key microarchitectural advances. Utilizing a dynamic length, 8-stage superscalar, multi-issue pipeline with speculative out-of-order execution, it is capable of executing up to four instructions per cycle in devices clocked at more than 1GHz while also providing reductions in the cost and inefficiencies of today's leading 8-stage processors.

ARM MPCore Technology

The widely-adopted ARM MPCore technology increases performance scalability and control over power consumption to exceed the performance of today's comparable high-performance devices while remaining within the tight mobile power constraints. To date, ARM MPCore technology has been licensed by more than ten companies including NEC Electronics, NVIDIA, Renesas Technology and Sarnoff Corporation and has been in silicon production since 2005.

The Cortex-A9 MPCore multicore processor was developed to deliver the next generation of the MPCore technology to a breadth of new application markets by further optimizing and extending this technology. In addition, to simplify and broaden the adoption of multicore solutions, the Cortex-A9 MPCore processor supports system-level coherence with accelerators and DMA to further increase performance and reduce power consumption at the system level.

The Cortex-A9 single core processor was developed for simplified design migration and reduced time-to-market of high-performance, cost-sensitive applications such as feature phones and other low cost embedded devices. Each processor is available with ARM Advantage(TM) standard cells and memories for a traditional and convenient synthesizable flow and provides increased levels of power efficiency within a similar silicon cost and power budgets to the previous ARM11 family processors.

"ARM continues to raise the bar in performance for mobile and battery operated applications with its Cortex family by introducing the ARM Cortex-A9 microprocessors," said Mario Morales, Vice President, Semiconductors at market research firm IDC. "The new processor options include multicore support for the Cortex family, which we expect will help extend the range in performance and power to address requirements by OEMs for next generation devices in terms of scale and features. By focusing on system level performance and lower power, the ARM Cortex-A9 processors can support the growing demand for multicore architectures that are enabling converged services and devices."

"ARM technology remains at the heart of breakthrough products designed to meet growing consumer requirements in a broad section of applications including smartphones, connected mobile computers and consumer electronics," said Mike Inglis, EVP, Sales and Marketing, ARM. "In 2005 we introduced the highly successful Cortex-A8 processor which is being used by multiple Partners to support a diverse mix of innovative end products starting in 2007/8. In 2007, ARM takes a further step forward by introducing the Cortex-A9 processors. These will allow further innovation with efficient, cost-sensitive uniprocessor and high-performance multicore implementations for end equipment in the 2009/10 timeframe. This new technology enables ARM Partners to continue to maintain leadership in multiple application areas including the emerging connected mobile computing market."

Cortex-A9 Processor Benefits

The Cortex-A9 processors are the first synthesizable ARM processors capable of delivering more than 8000 aggregate DMIPS for demanding high performance, consumer and enterprise applications while also providing a significant performance increase over today's handsets when implemented within the very tight 250mW mobile power budget. For 2000 DMIPS of performance when designed in a TSMC 65 nanometer (nm) generic process the core logic costs less than 1.5 mm2 of silicon. This scalable performance from 2000-8000 DMIPs is 4-16x what is found in today's high end phones or set top boxes and will allow end users to instantly view complex, multimedia enabled web pages and make the most of Web 2.0 applications, enjoy photorealistic graphics and gaming, open complex attachments quickly or edit media files.

The Cortex-A9 MPCore processor is the first ARM processor to combine the Cortex application class architecture with multiprocessing capabilities for scalable performance and provides enhanced multicore technology that
includes:

- NAK Accelerator Coherence Port (ACP) for increased system performance
and lower system power

- NAK Advanced Bus Interface Unit for low latency in high bandwidth
devices

- NAK Multicore TrustZone(R) technology with interrupt virtualization to
enable hardware based security and enhanced paravirtualization
solutions

- NAK Generalized Interrupt Controller (GIC) for software portability and
optimized multicore communication

During the development of the multicore benchmarking framework developed by the industry-led Embedded Microprocessor Benchmark Consortium (EEMBC), the
Cortex-A9 MPCore multicore processor demonstrated near linear scalability in a variety of benchmarks, with additional processor units providing up to four times the performance of a comparable single core processor.

Complete System Solution

Both ARM Cortex-A9 processors include the ARM application specific architecture extensions, including DSP and SIMD extensions and Jazelle(R), TrustZone, and Intelligent Energy Manager (IEM(TM)) technologies. In addition, ARM has developed a full range of supporting technology around the new processor to reduce design time and accelerate time-to-market. This complete system solution comprises:

- Floating-Point Unit (FPU): The Cortex-A9 FPU provides
high-performance single and double precision floating-point
instructions.

- Media Processing: The Cortex-A9 NEON Media Processing Engine (MPE)
offers the performance and functionality of the Cortex-A9 FPU plus
the ARM NEON advanced SIMD instruction set first introduced with the
Cortex-A8 processor for accelerated media and signal processing
functions.

- Physical IP: Providing a wide range of standard cell libraries and
memories required for low-power and high-performance implementations
on a Cortex-A9 processor. The standard cells include power management
kits that enable dynamic and leakage power saving techniques such as
clock gating, multi-voltage islands and power gating. The memory
compilers are also offered with advanced power-saving features.

- Fabric IP: The Cortex-A9 processor is supported by a comprehensive
set of PrimeCell(R) fabric IP components including a dynamic memory
controller, a static memory controller, an AMBA(R) 3 AXI configurable
interconnect and an optimized L2 Cache Controller to match the
performance and throughput capability of the Cortex-A9 processors in
high frequency designs.

- Graphics Acceleration: the combination of ARM Mali(TM) graphics
processing units with the Cortex-A9 processor will enable SoC Partners
to create highly integrated system level solutions, bringing optimal
size, performance and system bandwidth benefits.

- System Design: The ARM RealView(R) SoC Designer tool provides rapid
architectural optimization and performance analysis and enables early
development of software drivers and timing critical code long before
hardware is available. The RealView System Generator tool offers
ultra-fast modeling capability for deployment of Cortex-A9
processor-based virtual platforms. Cycle based and programmers' view
models of the Cortex-A9 processor, for use in RealView tools, will be
available in 2Q 2008.

- Debug: The ARM CoreSight(TM) on-chip technology speeds complex debug
and reduces time-to-market. The Program Trace Macrocell technology
enables program-flow trace capabilities for full visibility into the
processor's instruction flow, and implements the ARMv7
architecture-compliant debug interface to enable tools standardization
and higher debug performance. The CoreSight design kit for the
Cortex-A9 processor extends the debug and trace capability to cover
the entire system-on-chip including multiple ARM processors, DSPs, and
intelligent peripherals.

- Software Development: The ARM RealView Development Suite includes
advanced code generation tools that deliver exceptional performance
and unmatched code density for the Cortex-A9 processors. The tools
also support vectorizing compilation for the NEON media and signal
processing extensions, enabling developers to achieve product and
project cost reductions through the elimination of separate DSPs.
Cortex-A9 MPCore multicore processor debug including advanced cross
triggering is supported by the RealView ICE and Trace products. The
Cortex-A9 processor is also supported by a range of hardware
development boards supporting system prototyping in FPGA and software
development.

Availability
The ARM Cortex-A9 single core and ARM Cortex-A9 MPCore processors are available for licensing now along with supporting technology.

About ARM
ARM designs the technology that lies at the heart of advanced digital products, from mobile, home and enterprise solutions to embedded and emerging applications. ARM's comprehensive product offering includes 16/32-bit RISC microprocessors, data engines, graphics processors, digital libraries, embedded memories, peripherals, software and development tools, as well as analog functions and high-speed connectivity products. Combined with the company's broad Partner community, they provide a total system solution that offers a fast, reliable path to market for leading electronics companies.

More information on ARM is available at www.arm.com.

ARM, TrustZone, RealView, PrimeCell, AMBA and Jazelle are registered trademarks of ARM Limited. Cortex, MPCore, ARM11, CoreSight, IEM, Advantage, AXI and NEON are trademarks of ARM Limited. All other brands or product names are the property of their respective holders. "ARM" is used to represent ARM Holdings plc; its operating company ARM Limited; and the regional subsidiaries ARM Inc.; ARM KK; ARM Korea Ltd.; ARM Taiwan Limited; ARM France SAS; ARM Consulting (Shanghai) Co. Ltd.; ARM Belgium N.V.; AXYS Design Automation Inc.; ARM Germany GmbH; ARM Embedded Technologies Pvt. Ltd.; and ARM Norway, AS.

Contact Details: ARM Press Office: +44-208-996-4141; Alan Tringham, ARM, +44-1223-400947, alan.tringham@arm.com; Claudia Natalia, ARM, +1-408-548-3172, claudia.natalia@arm.com; Nandita Geerdink, Text 100, +1-415-593-8457, nanditag@text100.com

All Topics