Golden Gate Technology Corp.

Software

Software provides nanometer IC power reduction solution.

Power Optimize Gold(TM) and Power Plan Gold(TM) use WiresFirst(TM) algorithms and work with existing place and route design flows at architectural and physical levels. Power Optimize Gold reduces leakage and switching power while simultaneously meeting constraints for timing, signal integrity, and electromigration. Power Plan Gold creates architectural multi-voltage-island designs by...

Read More »
Software

Software provides nanometer IC power reduction solution.

Power Optimize Gold(TM) and Power Plan Gold(TM) use WiresFirst(TM) algorithms and work with existing place and route design flows at architectural and physical levels. Power Optimize Gold reduces leakage and switching power while simultaneously meeting constraints for timing, signal integrity, and electromigration. Power Plan Gold creates architectural multi-voltage-island designs by...

Read More »

All Topics