New Striker FE Platform for Manufacturing High-aspect-ratio Chip Architectures

Press Release Summary:

  • Utilizes ICEFill™ technology for filling structures in 3D NAND, DRAM and logic devices at emerging nodes
  • Delivers the continued cost and technology scaling that is required to meet the semiconductor industry roadmap
  • CEFill technology removes the limitations for filling high-aspect-ratio features which are prevalent in 3D NAND devices as well as prevents collapse issues in DRAM and logic devices

Original Press Release:

Lam Research Introduces Advanced Dielectric Gapfill Technology to Enable Next-generation Devices

New Striker® FE enhanced atomic layer deposition platform addresses semiconductor manufacturing challenges for 3D NAND, DRAM, and Logic chipmakers

Fremont, Calif., Sept. 22, 2020 /PRNewswire/ -- Lam Research Corp. (Nasdaq: LRCX) today announced the advanced Striker® FE platform, a new processing solution for manufacturing high-aspect-ratio chip architectures. Striker FE utilizes an innovative, first of its kind ICEFill™ technology for filling extreme structures in 3D NAND, DRAM, and logic devices at emerging nodes. This system delivers the continued cost and technology scaling that is required to meet the semiconductor industry roadmap.

Traditional methods of gapfill for semiconductor manufacturing include legacy chemical vapor deposition, diffusion/furnace, and spin-on processes. These techniques are no longer viable for today's 3D NAND requirements, as they are limited by the tradeoffs between quality, shrinkage, and gapfill voids. In contrast, Lam's Striker ICEFill harnesses a proprietary surface modification technique to achieve highly preferential bottom-up and void-free gapfill while retaining the film quality inherent to atomic layer deposition (ALD). The ICEFill technology removes the existing limitations for filling high-aspect-ratio features which are especially prevalent in 3D NAND devices, as well as prevents collapse issues in DRAM and logic devices.

"Our goal is to provide customers with the most enabling ALD technology," said Sesha Varadarajan, senior vice president and general manager of the Deposition product group at Lam Research. "This technology combines the ability to produce high quality oxide films with superior gapfill performance, in a single processing system with the productivity advantages offered by our industry leading quad station module architecture."

The Striker FE platform with ICEFill technology is part of the Striker ALD product family. For more information about the Striker product family visit the product page.

About Lam Research

Lam Research Corporation is a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. As a trusted, collaborative partner to the world's leading semiconductor companies, we combine superior systems engineering capability, technology leadership, and unwavering commitment to customer success to accelerate innovation through enhanced device performance. In fact, today, nearly every advanced chip is built with Lam technology. Lam Research (Nasdaq: LRCX) is a FORTUNE 500® company headquartered in Fremont, Calif., with operations around the globe. Learn more at www.lamresearch.com. (LRCX-P)

Company Contacts:
Libra White
Media Relations
(510) 572-7725
publicrelations@lamresearch.com

Ram Ganesh
Investor Relations
(510) 572-1615
investor.relations@lamresearch.com

Source Lam Research Corp.

All Topics