Arteris, Inc.

Materials

Interconnect Fabric IP cuts SoC development time.

Embedded within FlexNoC interconnect IP fabric, FlexNoC Composition feature allows SoC architecture to be subdivided for implementation by various specialist design teams, each working independently on their own subsystem. Once all subsystems are complete, each can be integrated into one complete full chip-level FlexNoC interconnect fabric without requiring bridges. Unlike hybrid bus or crossbar,...

Read More »
Company News

Arteris IP Ncore-® and FlexNoC-® Interconnects and Resilience Packages Licensed by Mobileye for AI-Powered EyeQ Chips

Next generation ASIL B(D) autonomous driving systems to be enabled by ISO 26262-compliant cache coherent and non-coherent interconnect IP CAMPBELL, CALIF. (PRWEB) JULY 10, 2018 - Arteris IP, the leading supplier of innovative, silicon-proven network-on-chip (NoC) interconnect intellectual property, today announced that Mobileye has purchased multiple licenses of Arteris IP Ncore Cache Coherent...

Read More »
Materials

Interconnect Fabric IP cuts SoC development time.

Embedded within FlexNoC interconnect IP fabric, FlexNoC Composition feature allows SoC architecture to be subdivided for implementation by various specialist design teams, each working independently on their own subsystem. Once all subsystems are complete, each can be integrated into one complete full chip-level FlexNoC interconnect fabric without requiring bridges. Unlike hybrid bus or crossbar,...

Read More »

All Topics