KLA-Tencor Corp.

KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems
Test & Measurement

KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems

PWG5™ attacks 3D NAND process issues while Surfscan® SP7XP tackles 3nm logic defectivity Milpitas, Calif., Dec. 10, 2020 /PRNewswire/ -- Today KLA Corporation (NASDAQ: KLAC) announced two new products: the PWG5™ wafer geometry system and the Surfscan® SP7XP wafer defect inspection system. The new systems are designed to address exceedingly difficult issues in the manufacture of leading-edge...

Read More »
KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems
Test & Measurement

KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems

PWG5™ attacks 3D NAND process issues while Surfscan® SP7XP tackles 3nm logic defectivity Milpitas, Calif., Dec. 10, 2020 /PRNewswire/ -- Today KLA Corporation (NASDAQ: KLAC) announced two new products: the PWG5™ wafer geometry system and the Surfscan® SP7XP wafer defect inspection system. The new systems are designed to address exceedingly difficult issues in the manufacture of leading-edge...

Read More »
New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications
Test & Measurement

New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications

Available in Archer™ 750 overlay and SpectraShape™ 11k optical critical dimension models. Archer 750 overlay metrology system generates accurate and robust measurements of overlay error in the presence of process variation. SpectraShape 11k CD and dimensional shape metrology system provides sensitivity and productivity that accommodates materials, structures and wafer shapes.

Read More »
New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications
Test & Measurement

New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications

Available in Archer™ 750 overlay and SpectraShape™ 11k optical critical dimension models. Archer 750 overlay metrology system generates accurate and robust measurements of overlay error in the presence of process variation. SpectraShape 11k CD and dimensional shape metrology system provides sensitivity and productivity that accommodates materials, structures and wafer shapes.

Read More »
New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity
Sensors, Monitors & Transducers

New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity

Use different wavelength ranges to cover inspection applications for all layers. eDR7380 e-beam wafer defect review system provides fast defect sourcing in development, fast excursion detection and more accurate, actionable data during production. Designed to accelerate time-to-market for leading-edge 3D NAND, DRAM and logic integrated circuits (ICs) throughout their product lifecycle.

Read More »
New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity
Sensors, Monitors & Transducers

New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity

Use different wavelength ranges to cover inspection applications for all layers. eDR7380 e-beam wafer defect review system provides fast defect sourcing in development, fast excursion detection and more accurate, actionable data during production. Designed to accelerate time-to-market for leading-edge 3D NAND, DRAM and logic integrated circuits (ICs) throughout their product lifecycle.

Read More »
KLA-Tencor Offers Kronos 1080 and ICOS F160 Systems for Production-Worthy Defect Detection
Test & Measurement

KLA-Tencor Offers Kronos 1080 and ICOS F160 Systems for Production-Worthy Defect Detection

The Kronos™ 1080 System comes with a higher-density metal patterns and multi-layer redistribution layers. It features multi-mode optics, sensors and advanced defect detection algorithms and is suitable for mobile, networking and high-performance computing applications. The ICOS™ F160 system is used in the detection of laser-groove, hairline and sidewall cracks and allows user to change input...

Read More »
KLA-Tencor Offers Kronos 1080 and ICOS F160 Systems for Production-Worthy Defect Detection
Test & Measurement

KLA-Tencor Offers Kronos 1080 and ICOS F160 Systems for Production-Worthy Defect Detection

The Kronos™ 1080 System comes with a higher-density metal patterns and multi-layer redistribution layers. It features multi-mode optics, sensors and advanced defect detection algorithms and is suitable for mobile, networking and high-performance computing applications. The ICOS™ F160 system is used in the detection of laser-groove, hairline and sidewall cracks and allows user to change input...

Read More »
KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems
Test & Measurement

KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems

PWG5™ attacks 3D NAND process issues while Surfscan® SP7XP tackles 3nm logic defectivity Milpitas, Calif., Dec. 10, 2020 /PRNewswire/ -- Today KLA Corporation (NASDAQ: KLAC) announced two new products: the PWG5™ wafer geometry system and the Surfscan® SP7XP wafer defect inspection system. The new systems are designed to address exceedingly difficult issues in the manufacture of leading-edge...

Read More »
KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems
Test & Measurement

KLA Introduces Two New Systems That Take on Semiconductor Manufacturing's Toughest Problems

PWG5™ attacks 3D NAND process issues while Surfscan® SP7XP tackles 3nm logic defectivity Milpitas, Calif., Dec. 10, 2020 /PRNewswire/ -- Today KLA Corporation (NASDAQ: KLAC) announced two new products: the PWG5™ wafer geometry system and the Surfscan® SP7XP wafer defect inspection system. The new systems are designed to address exceedingly difficult issues in the manufacture of leading-edge...

Read More »
Company News

KLA Launches Electronics, Packaging and Components Group

New Business Group Targets Growth Opportunities in Fast-Growing Sectors MILPITAS, Calif., May 26, 2020 /PRNewswire/ -- KLA Corporation (NASDAQ: KLAC) today announced the formation of a new business group focused on growth in its Electronics, Packaging and Components (EPC) businesses. Under the leadership of KLA Executive Vice President Oreste Donzella, the EPC group extends KLA's leadership in...

Read More »
Company News

KLA Launches Electronics, Packaging and Components Group

New Business Group Targets Growth Opportunities in Fast-Growing Sectors MILPITAS, Calif., May 26, 2020 /PRNewswire/ -- KLA Corporation (NASDAQ: KLAC) today announced the formation of a new business group focused on growth in its Electronics, Packaging and Components (EPC) businesses. Under the leadership of KLA Executive Vice President Oreste Donzella, the EPC group extends KLA's leadership in...

Read More »
New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications
Test & Measurement

New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications

Available in Archer™ 750 overlay and SpectraShape™ 11k optical critical dimension models. Archer 750 overlay metrology system generates accurate and robust measurements of overlay error in the presence of process variation. SpectraShape 11k CD and dimensional shape metrology system provides sensitivity and productivity that accommodates materials, structures and wafer shapes.

Read More »
New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications
Test & Measurement

New IC Metrology Systems are Ideal for 5G, AI, Data Centers and Edge Computing Applications

Available in Archer™ 750 overlay and SpectraShape™ 11k optical critical dimension models. Archer 750 overlay metrology system generates accurate and robust measurements of overlay error in the presence of process variation. SpectraShape 11k CD and dimensional shape metrology system provides sensitivity and productivity that accommodates materials, structures and wafer shapes.

Read More »
New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity
Sensors, Monitors & Transducers

New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity

Use different wavelength ranges to cover inspection applications for all layers. eDR7380 e-beam wafer defect review system provides fast defect sourcing in development, fast excursion detection and more accurate, actionable data during production. Designed to accelerate time-to-market for leading-edge 3D NAND, DRAM and logic integrated circuits (ICs) throughout their product lifecycle.

Read More »
New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity
Sensors, Monitors & Transducers

New 392x and 295x Optical Inspection Systems Achieve Unparalleled Levels of Sensitivity

Use different wavelength ranges to cover inspection applications for all layers. eDR7380 e-beam wafer defect review system provides fast defect sourcing in development, fast excursion detection and more accurate, actionable data during production. Designed to accelerate time-to-market for leading-edge 3D NAND, DRAM and logic integrated circuits (ICs) throughout their product lifecycle.

Read More »

All Topics